Gaming PC

Samsung Foundry Vows to Surpass TSMC Within Five Years

Samsung’s semiconductor division head acknowledged last week that the company’s current high-volume, cutting-edge process technology is several years behind TSMC’s leading-edge production node. However, Samsung is working hard to catch up to his big rival within five years.

“Honestly, Samsung Electronics’ foundry technology lags behind TSMC,” said Dr., who is in charge of the Samsung Electronics Device Solutions Division and oversees the global operations of the memory, system LSI, and Foundry business units. Kye Hyun Kyung said. At the Korea Advanced Institute of Science and Technology (KAIST), Hangyeong“We can surpass TSMC within five years.”

Samsung has invested tens of billions of dollars in its foundry division in recent years to catch up with TSMC and Intel in both LSI chip production capacity and process technology dominance. Although the company has closed the gap significantly with its rivals, it is still not quite on par with TSMC’s manufacturing technology when it comes to performance, power, area (transistor density), and cost metrics.

Samsung Foundry was the first chip contract manufacturer to adopt Gate-all-around (GAA) transistors at the SF3E (3GAE, 3 nm, gate-all-around Early) node, and its customers are enthusiastic about the technology itself. , a new transistor architecture, but the process is not used in Samsung’s own state-of-the-art system-on-chip for smartphones.

Dr. Kye Hyun Kyung said:

Samsung’s latest Galaxy S23 series, on the other hand, uses Qualcomm’s Snapdragon 8 Gen 2 SoC manufactured by TSMC on the N4 manufacturing process.

Samsung Foundry’s most advanced technology, which can be used to create highly complex SoCs for smartphones and other demanding applications, is SF4 (4LPP, 4 nm, Low Power Plus), which the company acknowledges As such, it lags far behind TSMC’s N3 (N3B). The node is rumored to be used to mass-produce Apple’s highly complex SoCs at this time.

According to a description published by the company, the company could fill the gap to some extent with TSMC’s N3 and N4P with SF4P (4LPP+), which will be available to customers later this year. @Tech_Reve.

Samsung Foundry will have a better chance of catching up to TSMC when its SF3 (3GAP) manufacturing node goes into mass production in 2024, but TSMC will also offer more advanced N3P manufacturing technology. Around the same time, Samsung also plans to offer his SF4X (4HPC). SF4X (4HPC) is (as the name suggests) a 4nm class manufacturing technology for high performance CPUs and GPUs.

samsung reportedly believe Moving to GAA transistors in the 2022-2023 timeframe makes a lot of sense as it will have time to fix early issues with the new architecture ahead of its rivals, especially Intel and TSMC. As a result, if in 2024-2025 we start producing chips with 2 nm class technology (20A, N2) and may run into the same problems that Samsung is solving now, its his SF2 Nodes will be able to offer better power combinations. , performance, transistor density, cost, and yield.

sauce: Hankyung.com (via @Tech_Reve)

Related Articles

Back to top button